Vis enkel innførsel

dc.contributor.authorDjupdal, Asbjørnnb_NO
dc.date.accessioned2014-12-19T13:30:15Z
dc.date.available2014-12-19T13:30:15Z
dc.date.created2008-04-24nb_NO
dc.date.issued2008nb_NO
dc.identifier124529nb_NO
dc.identifier.isbn978-82-471-6874-5nb_NO
dc.identifier.urihttp://hdl.handle.net/11250/249908
dc.description.abstractIntegrated circuits have been in constant progression since the first prototype in 1958. The semiconductor industry has maintained a constant rate of miniaturisation of transistors and wires, resulting in ever increasing speed, size and complexity of circuits. One challenge that has always been present is reduced yield due to production defects. A certain amount of chips must be scrapped because production defects have rendered the chips unusable. Recent predictions suggest that the average number of production defects per chip will rise drastically in the future as CMOS scaling approaches the physical limits of what is possible to manufacture. If these predictions are true, circuits should exhibit some level of tolerance to defects so to keep yield at acceptable levels. The main contribution of the thesis is to the field of defect tolerance, with a focus on FPGAs. Apart from the widespread employment of FPGAs, two technical reasons make the FPGA especially suited for inclusion of defect tolerance techniques. The regular structure of the FPGA can be exploited for efficient redundancy techniques. In addition, the FPGA can be seen as a bridge between production and the application designer. Through defect tolerance techniques incorporated transparently in the FPGA, a fully functioning gate array can be provided to the application designer despite defects from production. The approach taken in this thesis is to search for new ways of introducing static hardware redundancy in a circuit through the application of artiffcial evolution. However, the challenge of applying evolutionary techniques provided a secondary contribution. The work provides a contribution to the field of artifficial evolution and the subfield evolvable hardware (EHW) by addressing ways in which such techniques may be applied to search for non-specifiable structures. The work is also bridging the fields of EHW and traditional hardware design and reliability metrics have been investigated for the purpose of comparing evolved and traditionally designed circuits. Redundant structures are first evolved for gate level circuits where both voter based solutions and more intricate non-voter based solutions are achieved. Transistor level redundancy structures are targeted next to approach the main goal of defect tolerance for FPGAs. A defect tolerant inverter is evolved which forms the basis of a general defect tolerance technique, termed the Multiple Short-Open (MSO) technique. The FPGA look-up table (LUT) is one of the essential components of the FPGA and a defect tolerant LUT is, therefore, constructed applying the MSO technique. An evolutionary experiment is also conducted where a defect tolerant 1-input LUT is evolved directly.nb_NO
dc.languageengnb_NO
dc.publisherFakultet for informasjonsteknologi, matematikk og elektroteknikknb_NO
dc.relation.ispartofseriesDoktoravhandlinger ved NTNU, 1503-8181; 2008:48nb_NO
dc.titleEvolving Static Hardware Redundancy for Defect Tolerant FPGAsnb_NO
dc.typeDoctoral thesisnb_NO
dc.contributor.departmentNorges teknisk-naturvitenskapelige universitet, Fakultet for informasjonsteknologi, matematikk og elektroteknikk, Institutt for datateknikk og informasjonsvitenskapnb_NO
dc.description.degreePhD i informasjons- og kommunikasjonsteknologinb_NO
dc.description.degreePhD in Information and Communications Technologyen_GB


Tilhørende fil(er)

Thumbnail

Denne innførselen finnes i følgende samling(er)

Vis enkel innførsel