Vis enkel innførsel

dc.contributor.advisorTorgersen, Jan
dc.contributor.advisorBurgmann, Stephanie
dc.contributor.authorKeilen, Maya
dc.date.accessioned2021-09-24T18:19:03Z
dc.date.available2021-09-24T18:19:03Z
dc.date.issued2020
dc.identifierno.ntnu:inspera:60273394:18592537
dc.identifier.urihttps://hdl.handle.net/11250/2781723
dc.description.abstractFor å designe mindre og raskere elektroniske enheter, kreves det å lage strukturer på nanometer størrelse. Å øke detaljoppløsningen ved å bygge underliggende 3D strukturer av forskjellige materialer og frigjøre disse strukturene ved hjelp av etseprosesser. For å jevne ut disse strukturene brukes kjemisk-mekanisk polering (CMP). CMP maskinen har mange fordeler. For eksempel blir overflateresultatet veldig homogent, lagene får lite defekter, i tillegg til at maskinen har høy effektivitet til en lav pris. Videre ble ulike parameter endret for å undersøke hvordan CMP maskinen påvirket materialene på nanometerskala. Ved å endre en parameter av gangen, er det mulig å sjekke hvordan slikker-konsentrasjonen, poleringstiden, baktrykket og arbeidstrykket påvirker overflateruheten og hvor mye materiale som forsvinner (AMR), ved polering av aluminaoksid (Al2O3), silika (SiO2) og amorft silisium (a-Si) (baktrykket og arbeidstrykket ble ikke testet på a-Si). Vedrørende a-Si heft og filmkvalitet ble flere studier utbragt ved å endre deponerings parametere og substratmaterialet. Som konklusjon er det mulig å se at en høyere slikker-konsentrasjon vil øke mengde materialet som blir polert bort fra overflatene. Dette skjer for alle de tre materialene. A-Si har en logaritmisk relasjon, mens aluminaoksid har lineær relasjon og silika har en mer polynomisk relasjon. Et høyere arbeidstrykk gir nesten et lineært forhold mellom mengde materiale som blir polert bort og trykket for både silika og aluminiumoksid. Mens det er nesten ingen relasjon mellom materiale som blir polert bort og baksidetrykket, ser det ut som det er en trend med økende standardavvik for overflateruheten når baksidetrykker øker for både silika og aluminiumoksid. For å finne ut om det er en nærmere sammenheng mellom de ulike parameterne, overflateruhet, materiale som blir polert bort og standardavvik for overflateruheten, bør en større prøvestørrelse undersøkes.
dc.description.abstractDesigning smaller and faster electronic devices requires control of the structure at the nanometer size. Increasing feature resolution by building underlying 3D structures of different materials and releasing those structures in a vapor etch process. To even out these structures chemical mechanical polishing (CMP) is used. The CMP machine has many advantages such as very smooth surface results and low damage to the underlying structures, in addition to high efficiency at a low cost. Further, different parameters were changed to investigate the CMP on the nanometer scale. By changing one parameter at a time, it was possible to see how the slurry concentration, duration time, backside pressure, and working pressure influenced the roughness and material removal rate (MRR) of alumina (Al2O3), silica (SiO2), and amorphous silicon (a-Si) (backside pressure and working pressure were not tested on a-Si). For a-Si adhesion and film quality, studies were conducted by changing deposition parameters and substrate material. In conclusion, it is possible to see that a higher slurry concentration will increase the amount of material removed (AMR) from the surface. This occurs for all three materials. A-Si has a logarithmic relation, while alumina has a linear, and silica has a more polynomial relation. Higher working pressure yields almost a linear relation for both the silica and the alumina wafers. While there is no correlation between the AMR and the backside pressure, there is a trend of an increasing standard deviation of the surface roughness when the backside pressure is increased for silica and alumina wafers. To find out if there is a tighter correlation between the different parameters and the surface roughness, AMR, and standard deviation of the surface roughness, a bigger sample size should be investigated.
dc.language
dc.publisherNTNU
dc.titleInvestigation of Chemical Mechanical Polishing to Enhance Feature Resolution by Atomic Layer Deposition
dc.typeMaster thesis


Tilhørende fil(er)

Thumbnail

Denne innførselen finnes i følgende samling(er)

Vis enkel innførsel