Vis enkel innførsel

dc.contributor.authorTran, Kim-anh
dc.contributor.authorJimborean, Alexandra
dc.contributor.authorCarlson, Trevor E.
dc.contributor.authorKoukos, Konstantinos
dc.contributor.authorSjälander, Magnus
dc.contributor.authorKaxiras, Stefanos
dc.date.accessioned2019-03-20T06:41:39Z
dc.date.available2019-03-20T06:41:39Z
dc.date.created2018-06-24T14:22:06Z
dc.date.issued2018
dc.identifier.isbn000-0-0000-0000-0
dc.identifier.urihttp://hdl.handle.net/11250/2590719
dc.description.abstractIncreasing demands for energy efficiency constrain emerging hardware. These new hardware trends challenge the established assumptions in code generation and force us to rethink existing software optimization techniques. We propose a cross-layer redesign of the way compilers and the underlying microarchitecture are built and interact, to achieve both performance and high energy efficiency. In this paper, we address one of the main performance bottlenecks—level cache misses—through a software- hardware co-design. Our approach is able to hide memory latency and attain increased memory and instruction level parallelism by orchestrating a non-speculative, execute-ahead paradigm in software (SWOOP). While out-of-order (OoO) architectures attempt to hide memory latency by dynamically reordering instructions, they do so through expensive, power-hungry, speculative mechanisms. We aim to shift this complexity into software, and we build upon compilation techniques inherited from VLIW, software pipelining, mod- ulo scheduling, decoupled access-execution, and software prefetching. In contrast to previous approaches we do not rely on either software or hardware speculation that can be detrimental to efficiency. Our SWOOP compiler is enhanced with lightweight architectural support, thus being able to transform applications that include highly complex control-low and indirect memory accesses.nb_NO
dc.language.isoengnb_NO
dc.publisherAssociation for Computing Machinery (ACM)nb_NO
dc.relation.ispartofProgramming Language Design and Implementation
dc.titleSWOOP: Software-Hardware Co-design for Non-speculative, Execute-Ahead, In-Order Coresnb_NO
dc.title.alternativeSWOOP: Software-Hardware Co-design for Non-speculative, Execute-Ahead, In-Order Coresnb_NO
dc.typeChapternb_NO
dc.description.versionacceptedVersionnb_NO
dc.source.pagenumber328-343nb_NO
dc.identifier.doi10.1145/3192366.3192393
dc.identifier.cristin1593475
dc.description.localcode© ACM, 2018. This is the author's version of the work. It is posted here by permission of ACM for your personal use. Not for redistribution. The definitive version was published in ACM Transactions of Computing Education, https://doi.org/10.1145/3296979.3192393nb_NO
cristin.unitcode194,63,10,0
cristin.unitnameInstitutt for datateknologi og informatikk
cristin.ispublishedtrue
cristin.fulltextpreprint
cristin.qualitycode1


Tilhørende fil(er)

Thumbnail

Denne innførselen finnes i følgende samling(er)

Vis enkel innførsel