Vis enkel innførsel

dc.contributor.authorTran, Kim-Anh
dc.contributor.authorCarlson, Trevor E.
dc.contributor.authorKoukos, Konstantinos
dc.contributor.authorSjälander, Magnus
dc.contributor.authorSpiliopoulos, Vasileios
dc.contributor.authorKaxiras, Stefanos
dc.contributor.authorJimborean, Alexandra
dc.date.accessioned2017-07-11T07:03:07Z
dc.date.available2017-07-11T07:03:07Z
dc.date.created2017-02-12T19:50:28Z
dc.date.issued2017
dc.identifier.citationClairvoyance: Look-Ahead Compile-Time Scheduling. I: International Symposium on Code Generation and Optimization (CGO) 2017 IEEE/ACM ISBN 978-1-5090-4931-8. s. 171-184nb_NO
dc.identifier.isbn978-1-5090-4931-8
dc.identifier.urihttp://hdl.handle.net/11250/2448370
dc.description.abstractTo enhance the performance of memory-bound applications, hardware designs have been developed to hide memory latency, such as the out-of-order (OoO) execution engine, at the price of increased energy consumption. Contemporary processor cores span a wide range of performance and energy efficiency options: from fast and power-hungry OoO processors to efficient, but slower in-order processors. The more memory-bound an application is, the more aggressive the OoO execution engine has to be to hide memory latency. This proposal targets the middle ground, as seen in a simple OoO core, which strikes a good balance between performance and energy efficiency and currently dominates the market for mobile, hand-held devices and high-end embedded systems. We show that these simple, more energy-efficient OoO cores, equipped with the appropriate compile-time support, considerably boost the performance of single-threaded execution and reach new levels of performance for memory-bound applications. Clairvoyance generates code that is able to hide memory latency and better utilize the OoO engine, thus delivering higher performance at lower energy. To this end, Clairvoyance overcomes restrictions which yielded conventional compile-time techniques impractical: (i) statically unknown dependencies, (ii) insufficient independent instructions, and (iii) register pressure. Thus, Clairvoyance achieves a geomean execution time improvement of 7% for memory-bound applications with a conservative approach and 13% with a speculative but safe approach, on top of standard O3 optimizations, while maintaining compute-bound applications' high-performance.nb_NO
dc.language.isoengnb_NO
dc.publisherIEEEnb_NO
dc.relation.ispartofInternational Symposium on Code Generation and Optimization
dc.titleClairvoyance: Look-Ahead Compile-Time Schedulingnb_NO
dc.typeChapternb_NO
dc.description.versionsubmittedVersionnb_NO
dc.source.pagenumber171-184nb_NO
dc.identifier.doi10.1109/CGO.2017.7863738
dc.identifier.cristin1449743
dc.description.localcodec 2017 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/ republishing this material for advertising or promotional purposes, creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works.nb_NO
cristin.unitcode194,63,10,0
cristin.unitnameInstitutt for datateknikk og informasjonsvitenskap
cristin.ispublishedtrue
cristin.fulltextpreprint


Tilhørende fil(er)

Thumbnail

Denne innførselen finnes i følgende samling(er)

Vis enkel innførsel