Vis enkel innførsel

dc.contributor.advisorKjeldsberg, Per Gunnarnb_NO
dc.contributor.advisorGjermundnes, Øystein
dc.contributor.authorCorneliussen, Per Christiannb_NO
dc.date.accessioned2014-12-19T13:46:39Z
dc.date.accessioned2015-12-22T11:45:16Z
dc.date.available2014-12-19T13:46:39Z
dc.date.available2015-12-22T11:45:16Z
dc.date.created2011-09-19nb_NO
dc.date.issued2011nb_NO
dc.identifier441764nb_NO
dc.identifierntnudaim:6176
dc.identifier.urihttp://hdl.handle.net/11250/2370250
dc.description.abstractThe Mandelbrot set, shown on the front page of this report, is perhaps themost well-known example of a fractal. Fractals is a certain familyof shapes with a very distinctive, interesting shape. The term was coined byBenoit B. Mandelbrot, for whom the Mandelbrot set is named after. The Mandelbrotset and other fractals are traditionally used for aesthetic purposes, such as inart, clothing, computer games, etc. However, there are also several practicalapplications for fractals, such as image compression.The Mandelbrot set is infinitely complex, making it desirable togenerate images of arbitrary sections of the set. Several software programs thatgenerate such images exists, but due to the computationally expensive nature ofthis task, these implementations are typically very slow, even on moderncomputers. However, the problem can be shown to be highly parallelizable,suggesting that a hardware implementation of such as generator should be able togenerate smooth real-time zoom animations, unlike existing softwareimplementations.A hardware fractal generator for the Mandelbrot set has been designed andimplemented in Verilog-2001. The design is very scalable, having a parameterspecifying the number of fractal point generators (cores) the synthesistool should implement. Furthermore, it is designed so that the floating pointunits in the cores are utilized nearly 100% of the time under normal operation.The design was tested on a Xilinx Virtex-6 FPGA with up to 16 cores, and it wasshown that the design was faster than a reference software solution running on adesktop computer when the number of cores was set to 2 or more.Additionally, a simplified Mandelbrot set algorithm is proposed and studiedexperimentally. In the simplified algorithm, the break condition in the algorithmloop is (|z_re| > 2) || (|z_im| > 2) as opposed to the standard |z| > 2.The images produced using the simplified algorithm was judged to be nearlyindistinguishable from those produced with the standard algorithm, and thereforepreferred as it is easier to implement.Finally some future work is proposed. The integration of the fractal generatorwith the Mali-400 GPU originally planned as part of this thesis is left asfuture work. It is also suggested to consider designing a custom fixed-pointformat for use internally in the fractal generator, as the standardbinary32 floating-point format (FP32) is shown to be badly suited forthis application.nb_NO
dc.languageengnb_NO
dc.publisherInstitutt for elektronikk og telekommunikasjonnb_NO
dc.subjectntnudaim:6176no_NO
dc.subjectMTEL elektronikk
dc.subjectDesign av digitale systemer
dc.titleDesign of a fractal generator for on-the-fly generation of textures for Mali GPUnb_NO
dc.typeMaster thesisnb_NO
dc.source.pagenumber80nb_NO
dc.contributor.departmentNorges teknisk-naturvitenskapelige universitet, Fakultet for informasjonsteknologi, matematikk og elektroteknikk, Institutt for elektronikk og telekommunikasjonnb_NO


Tilhørende fil(er)

Thumbnail
Thumbnail
Thumbnail

Denne innførselen finnes i følgende samling(er)

Vis enkel innførsel