• Clairvoyance: Look-Ahead Compile-Time Scheduling 

      Tran, Kim-Anh; Carlson, Trevor E.; Koukos, Konstantinos; Själander, Magnus; Spiliopoulos, Vasileios; Kaxiras, Stefanos; Jimborean, Alexandra (Chapter, 2017)
      To enhance the performance of memory-bound applications, hardware designs have been developed to hide memory latency, such as the out-of-order (OoO) execution engine, at the price of increased energy consumption. Contemporary ...
    • Clearing the Shadows: Recovering Lost Performance for Invisible Speculative Execution through HW/SW Co-Design 

      Tran, Kim-anh; Sakalis, Christos; Själander, Magnus; Ros, Alberto; Kaxiras, Stefanos; Jimborean, Alexandra (Chapter, 2020)
      Out-of-order processors heavily rely on speculation to achieve high performance, allowing instructions to bypass other slower instructions in order to fully utilize the processor's resources. Speculatively executed ...
    • Delay and Bypass: Ready and Criticality Aware Instruction Scheduling in Out-of-Order Processors 

      Alipour, Mehdi; Kumar, Rakesh; Kaxiras, Stefanos; Black-Schaffer, David (Peer reviewed; Journal article, 2020)
      Flexible instruction scheduling is essential for performance in out-of-order processors. This is typically achieved by using CAM-based Instruction Queues (IQs) that provide complete flexibility in choosing ready instructions ...
    • Delay-on-Squash: Stopping Microarchitectural Replay Attacks in Their Tracks 

      Sakalis, Christos; Kaxiras, Stefanos; Själander, Hans Magnus (Peer reviewed; Journal article, 2022)
      MicroScope and other similar microarchitectural replay attacks take advantage of the characteristics of speculative execution to trap the execution of the victim application in a loop, enabling the attacker to amplify a ...
    • Do Not Predict – Recompute! How Value Recomputation Can Truly Boost the Performance of Invisible Speculation 

      Sakalis, Christos; Chowdhury, Zamshed; Wadle, Shayne; Akturk, Ismail; Ros, Alberto; Själander, Magnus; Kaxiras, Stefanos; Karpuzcu, Ulya (Chapter, 2021)
      Recent architectural approaches that address speculative side-channel attacks aim to prevent software from exposing the microarchitectural state changes of transient execution. The Delay-on-Miss technique is one such ...
    • Efficient invisible speculative execution through selective delay and value prediction 

      Sakalis, Christos; Kaxiras, Stefanos; Ros, Alberto; Jimborean, Alexandra; Själander, Magnus (Journal article; Peer reviewed, 2019)
      Speculative execution, the base on which modern high-performance general-purpose CPUs are built on, has recently been shown to enable a slew of security attacks. All these attacks are centered around a common set of ...
    • Evaluating the Potential Applications of Quaternary Logic for Approximate Computing 

      Sakalis, Christos; Jimborean, Alexandra; Kaxiras, Stefanos; Själander, Magnus (Journal article, 2019)
      There exist extensive ongoing research efforts on emerging atomic-scale technologies that have the potential to become an alternative to today’s complementary metal--oxide--semiconductor technologies. A common feature among ...
    • FIFOrder MicroArchitecture: Ready-Aware Instruction Scheduling for OoO Processors 

      Alipour, Mehdi; Kumar, Rakesh; Kaxiras, Stefanos; Black-Schaffer, David (Journal article; Peer reviewed, 2019)
      The number of instructions a processor's instruction queue can examine (depth) and the number it can issue together (width) determine its ability to take advantage of the ILP in an application. Unfortunately, increasing ...
    • Ghost loads: what is the cost of invisible speculation? 

      Sakalis, Christos; Alipour, Mehdi; Ros, Alberto; Jimborean, Alexandra; Kaxiras, Stefanos; Själander, Magnus (Chapter, 2019)
      Speculative execution is necessary for achieving high performance on modern general-purpose CPUs but, starting with Spectre and Meltdown, it has also been proven to cause severe security flaws. In case of a misspeculation, ...
    • Reorder Buffer Contention: A Forward Speculative Interference Attack for Speculation Invariant Instructions 

      Aimoniotis, Pavlos; Sakalis, Christos; Själander, Magnus; Kaxiras, Stefanos (Peer reviewed; Journal article, 2021)
      Speculative side-channel attacks access sensitive data and use transmitters to leak the data during wrong-path execution. Various defenses have been proposed to prevent such information leakage. However, not all speculatively ...
    • Seeds of SEED: Preventing Priority Inversion in Instruction Scheduling to Disrupt Speculative Interference 

      Sakalis, Christos; Själander, Magnus; Kaxiras, Stefanos (Chapter, 2021)
      Speculative side-channel attacks consist of two parts: The speculative instructions that abuse speculative execution to gain illegal access to sensitive data and the side-channel instructions that leak the sensitive data. ...
    • Static Instruction Scheduling for High Performance on Limited Hardware 

      Tran, Kim-anh; Carlson, Trevor E.; Koukos, Konstantinos; Själander, Magnus; Spiliopoulos, Vasileios; Kaxiras, Stefanos; Jimborean, Alexandra (Journal article; Peer reviewed, 2017)
      Complex out-of-order (OoO) processors have been designed to overcome the restrictions of outstanding long-latency misses at the cost of increased energy consumption. Simple, limited OoO processors are a compromise in terms ...
    • SWOOP: Software-Hardware Co-design for Non-speculative, Execute-Ahead, In-Order Cores 

      Tran, Kim-anh; Jimborean, Alexandra; Carlson, Trevor E.; Koukos, Konstantinos; Själander, Magnus; Kaxiras, Stefanos (Chapter, 2018)
      Increasing demands for energy efficiency constrain emerging hardware. These new hardware trends challenge the established assumptions in code generation and force us to rethink existing software optimization techniques. ...
    • Understanding Selective Delay as a Method for Efficient Secure Speculative Execution 

      Sakalis, Christos; Kaxiras, Stefanos; Ros, Alberto; Jimborean, Alexandra; Själander, Magnus (Peer reviewed; Journal article, 2020)
      Since the introduction of Meltdown and Spectre, the research community has been tirelessly working on speculative side-channel attacks and on how to shield computer systems from them. To ensure that a system is protected ...
    • WIP: “It’s a Trap!”—How Speculation Invariance Can Be Abused with Forward Speculative Interference 

      Aimoniotis, Pavlos; Sakalis, Christos; Själander, Magnus; Kaxiras, Stefanos (Chapter, 2021)
      Side-channel attacks based on speculative execution access sensitive data and use transmitters to leak such data during wrong-path execution. Speculative side-channel defenses have been proposed to prevent such information ...