Vis enkel innførsel

dc.contributor.authorWang, Lu
dc.contributor.authorJahre, Magnus
dc.contributor.authorAdileh, Almutaz
dc.contributor.authorEeckhout, Lieven
dc.date.accessioned2021-03-19T09:53:05Z
dc.date.available2021-03-19T09:53:05Z
dc.date.created2020-10-22T15:59:56Z
dc.date.issued2020
dc.identifier.isbn978-1-7281-7383-2
dc.identifier.urihttps://hdl.handle.net/11250/2734404
dc.description.abstractAnalytical models enable architects to carry out early-stage design space exploration several orders of magnitude faster than cycle-accurate simulation by capturing first-order performance phenomena with a set of mathematical equations. However, this speed advantage is void if the conclusions obtained through the model are misleading due to model inaccuracies. Therefore, a practical analytical model needs to be sufficiently accurate to capture key performance trends across a broad range of applications and architectural configurations. In this work, we focus on analytically modeling the performance of emerging memory-divergent GPU-compute applications which are common in domains such as machine learning and data analytics. The poor spatial locality of these applications leads to frequent L1 cache blocking due to the application issuing significantly more concurrent cache misses than the cache can support, which cripples the GPU's ability to use Thread-Level Parallelism (TLP) to hide memory latencies. We propose the GPU Memory Divergence Model (MDM) which faithfully captures the key performance characteristics of memory-divergent applications, including memory request batching and excessive NoC/DRAM queueing delays. We validate MDM against detailed simulation and real hardware, and report substantial improvements in (1) scope: the ability to model prevalent memory-divergent applications in addition to non-memory divergent applications; (2) practicality: 6.1× faster by computing model inputs using binary instrumentation as opposed to functional simulation; and (3) accuracy: 13.9% average prediction error versus 162% for the state-of-the-art GPUMech model.en_US
dc.language.isoengen_US
dc.publisherInstitute of Electrical and Electronics Engineers (IEEE)en_US
dc.relation.ispartofMICRO'53: Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture
dc.titleMDM: The GPU Memory Divergence Modelen_US
dc.typeChapteren_US
dc.description.versionacceptedVersionen_US
dc.identifier.doihttp://dx.doi.org/10.1109/MICRO50266.2020.00085
dc.identifier.cristin1841602
dc.relation.projectNorges forskningsråd: 286596en_US
dc.description.localcode© 2020 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes, creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works.en_US
cristin.ispublishedtrue
cristin.fulltextpostprint
cristin.qualitycode1


Tilhørende fil(er)

Thumbnail

Denne innførselen finnes i følgende samling(er)

Vis enkel innførsel